PM的正交解調法

超级大咸鱼發表於2024-10-05

1.PM的模擬調製過程

​ PM訊號是一種相位調製訊號,其攜帶的資訊儲存在其訊號的相位中,透過改變載波的相位來實現基帶資料的傳輸。

其函式表示式如下:

\[s(t) = A*cos(w_c*t + K_f*m(t)) \]

其中:
\(A\):表示載波幅度。
\(m(t)\):表示基帶訊號。
\(w_c\):表示載波訊號角度增量。
\(K_f\):是調製靈敏度。

正交調製法公式如下:

\[I(t) = cos(K_f*m(t)) \\ Q(t) = sin(K_f*m(t)) \\ s(t) = A*(I(t)*cos(w_c*t) - Q(t)*sin(w_c*t)) \]

2.PM的數字正交解調

原理:

PM的正交解調法

對於I路,其中\(\varphi\)表示調製載波與解調載波的相位差:

\[\begin{array}{flalign} I(n) & = LPF(s(n)*cos(w_c*n + \varphi)) \\ & = \frac{cos(K_f*m(n))*cos(\varphi) + sin(K_f*m(n))*sin(\varphi)}{2} \\ & = \frac{1}{2}*cos(K_f*m(n) - \varphi) \end{array} \]

對於Q路:

\[\begin{array}{flalign} Q(n) & = LPF(s(n)*sin(w_c*n + \varphi)) \\ & = \frac{cos(K_f*m(n))*sin(\varphi) - sin(K_f*m(n))*cos(\varphi)}{2} \\ & = \frac{1}{2}*sin(K_f*m(n) - \varphi) \end{array} \]

同時:

\[\begin{array}{flalign} &\ \frac{Q(n)}{I(n)} = \frac{sin(K_f* m(n)- \varphi)}{cos(K_f* m(n)- \varphi)} = tan(K_f* m(n) - \varphi) \\ &\ M(n) = arctan(\frac{Q(n)}{I(n)}) = K_f* m(n) - \varphi\space\space\space\space\space\space\space\space\space\space\space\space\space\space\space\space\space\space\space\space (\frac{Q(n)}{I(n)}) \in (-\pi/2\space\space\space\space\pi/2) \end{array} \]

注:上式推算中使用了arctan函式,其中arctan的輸入範圍\((-\pi/2\space\space\space\space\pi/2)\)。當範圍超過將計算錯誤。所以將使用MATLAB的atan2函式進行計算。

\[M(n) = atan2(Q(n),I(n)) = K_f*m(n)- \varphi \]

3.MATLAB模擬

模擬程式碼:

fs = 20000;%取樣率
l = 1E3;%基帶訊號點數
f = 100;%基帶訊號
f_c = 500;%載波訊號
t = 0:1/fs:(l-1)/fs;
mt = cos(2*pi*f*t);
kf = 2;
fi = pi/3;
%% IQ訊號
I = cos(kf*mt + fi);
Q = sin(kf*mt + fi);

%% 調製資料
mod_data = I.*cos(2*pi*f_c*t) - Q.*sin(2*pi*f_c*t);

%% 解調
demod = atan2(Q,I);
for i = 2:1:length(demod)
    if(demod(i) >= pi)
       demod(i) = demod(i) - pi*2; 
    elseif(demod(i) <= -pi)
       demod(i) = demod(i) + pi*2;  
    else 
        demod(i) =  demod(i); 
    end
end
%% 去直流
dc_signal = sum(demod)/l;
demod0 = demod-dc_signal;
%% 儲存IQ資料FPGA使用模擬
fid = fopen('PM.txt','w');
for i = 1:l
    fprintf(fid,'%d %d\n',floor(I(i)* (2^13)),floor(Q(i)* (2^13)));
end
fclose(fid);

%% 繪製
figure
time = 4;
subplot(time,1,1);
plot(mt);
title('基帶資料');

subplot(time,1,2);
plot(mod_data);
title('調製資料');

subplot(time,1,3);
plot(demod);
title('解調資料');

subplot(time,1,4);
plot(demod0);
title('解調資料(去直流)');

結果:

PM的正交解調法

4.FPGA解調

邏輯程式碼:

module pm_demod(
    input           clk             ,
    input           rst             ,

    //解調引數

    input           i_valid         ,
    input [15:0]    i_data_i        ,
    input [15:0]    i_data_q        ,


    output           o_rdy       ,
    output  [15:0]   o_data    

);

    wire            pm_valid          ;
    wire [23:0]     pm_i              ;
    wire [23:0]     pm_q              ;

    wire            pm_rdy            ;
    wire [47 : 0]   m_axis_dout_tdata ;
    //AM 解調

    assign pm_valid     = i_valid                        ;
    assign pm_i         = {{8{i_data_i[15]}},i_data_i}   ;
    assign pm_q         = {{8{i_data_q[15]}},i_data_q}   ;           
   
    cordic_translate cordic_translate (
        .aclk                     (clk                      ),                                        // input wire aclk
        .s_axis_cartesian_tvalid  (pm_valid                 ),  // input wire s_axis_cartesian_tvalid
        .s_axis_cartesian_tdata   ({pm_i,pm_q}              ),    // input wire [47 : 0] s_axis_cartesian_tdata
        .m_axis_dout_tvalid       (pm_rdy                   ),            // output wire m_axis_dout_tvalid
        .m_axis_dout_tdata        (m_axis_dout_tdata        )              // output wire [47 : 0] m_axis_dout_tdata
    );
    
    assign o_data = m_axis_dout_tdata[24 +:16];
    assign o_rdy = pm_rdy;
        
endmodule

模擬程式碼:

module tb_pm_demod();
    reg     clk;
    reg     rst;

    initial begin
        clk <= 0;
        rst <=   1;
        #300
        rst <= 0;

    end

    always #(100/2) clk  <=~clk;

    reg     valid;
    reg     [15:0]  din_i;
    reg     [15:0]  din_q;
    wire          o_rdy     ;
    wire  [15:0]  o_data  ;

pm_demod   pm_demod(
    .clk         (clk),
    .rst         (rst),
    .i_valid     (valid),
    .i_data_i    (din_i),
    .i_data_q    (din_q),
    .o_rdy           (o_rdy     ),
    .o_data          (o_data    )

);
    integer file_rd;                //定義資料讀指標
    integer flag;
    initial begin                        //開啟讀取和寫入的檔案,這裡的路徑要對    
        file_rd = $fopen("PM.txt","r");
    end  
    reg     [15:0]  cnt;

    always @(posedge clk)begin
        if(rst)begin
            din_i   <= 0;
            din_q   <= 0;
            cnt     <= 0;
            valid   <= 0;
        end
        else if(cnt <= 1000)begin
            valid   <= 1;
            flag = $fscanf(file_rd,"%d %d",din_i,din_q);
            cnt <= cnt + 1;
        end
        else begin
            $fclose(file_rd);
            $stop();
        end
    end
endmodule

模擬結果:

PM的正交解調法

相關文章