FPGA 將1bit的flag量轉化為狀態保持的開關量(verilog)
計數器A,受兩個1bit的flag控制,分別為:start控制計數開始,stop控制暫停計數。
解決方法:利用兩個flag生成一個具有開關性質的量,當開關開的時候開始計數,開關關的時候暫停計數。
主模組:
module flag2switch(
input clk,
input rst,
input start_flag,
input stop_flag
);
reg switch;
always@(*) begin
if(!rst)
switch <= 1'b0;
else if(start_flag)
switch <= 1'b1;
else if (stop_flag)
switch <= 1'b0;
else
switch <= switch;
end
reg [12:0] cnt;
always@(posedge clk or negedge rst ) begin
if(!rst)
cnt <= 13'd0;
else if (switch)
cnt <= cnt +1'b1;
else
cnt <= cnt;
end
endmodule
testbench:
`timescale 1ns/1ns
`define clk_period 20
module tb;
reg Clk;/*系統時鐘*/
reg Rst_n;/*系統復位*/
reg start;
reg stop;
flag2switch f1(
.clk(Clk),
.rst(Rst_n),
.start_flag(start),
.stop_flag(stop)
);
initial Clk = 0;
always #10 Clk = ~Clk;
initial begin
Rst_n = 1'b0;
start = 1'b0;
stop = 1'b0;
#(`clk_period*20)
Rst_n = 1'b1;
#(`clk_period)
start = 1'b1;
#(`clk_period)
start = 1'b0;
#(`clk_period*8)
stop = 1'b1;
#(`clk_period)
stop = 1'b0;
#(`clk_period*8)
start = 1'b1;
#(`clk_period)
start = 1'b0;
#(`clk_period*10)
$stop;
end
endmodule
功能模擬:
相關文章
- HTTP狀態保持的原理HTTP
- 行為和狀態的關係
- js將物件直接量轉換為字串形式JS物件字串
- requests請求狀態保持-登入github為例Github
- 輕量簡便的android沉浸式狀態列Android
- Verilog程式碼和FPGA硬體的對映關係(四)FPGA
- Verilog程式碼和FPGA硬體的對映關係(五)FPGA
- Flutter 中如何保持Tabbar和TabbarView的狀態?FluttertabBarView
- 理解 React 輕量狀態管理庫 UnstatedReact
- 基於FPGA的NC影像質量評估verilog實現,包含testbench和MATLAB輔助驗證程式FPGAMatlab
- 關於有狀態和無狀態會話bean的解釋 (轉)會話Bean
- 智慧化ERP為企業量體裁衣(轉)
- 輕量級狀態管理庫Pinia試吃
- FPGA程式設計從零開始 使用VerilogFPGA程式設計
- vue中keep-alive保持使用過的狀態VueKeep-Alive
- 關於前端元件化、狀態管理規範化的思考前端元件化
- $.parseJSON()方法將json資料轉換為物件直接量JSON物件
- vue從其他頁面返回保持上一頁的狀態Vue
- 想使您的Mac保持清醒狀態?試試這個Mac
- 將檔案轉化為字串的方法字串
- 統計當前系統中不同執行狀態的程式數量
- 如何將三元組轉化為巢狀字典型別?巢狀型別
- 動態質量管理之二(轉載)
- JAVA 執行緒狀態及轉化(轉)Java執行緒
- Android 狀態列關於開發的幾件事Android
- [譯] iOS 響應式程式設計:Swift 中的輕量級狀態容器iOS程式設計Swift
- Java序列化的狀態Java
- 對於網線斷開後重新連上 tcp socket 連線保持 ESTABLISH 狀態不變的問題的解釋(轉)TCP
- 程式的狀態與轉換
- 【iCore4 雙核心板_FPGA】例程七:狀態機實驗——狀態機使用FPGA
- 【iCore3 雙核心板_FPGA】例程九:狀態機實驗——狀態機使用FPGA
- 六西格瑪測量如何將顧客需求轉換為規格要求?
- 數量性狀遺傳引數的提出
- FPGA學習(第10節)-模組的例化-Verilog層次化設計實現LED流水燈FPGA
- 物件怎麼轉化為關係的物件
- 滴滴 App 的質量優化框架 Booster,開源了!APP優化框架
- JSON字串轉換為物件直接量JSON字串物件
- 事件溯源:是來自事件的狀態與作為狀態的事件? - verraes事件