Xilinx內建RAM的初始化資料COE檔案編寫

琦琦沒有琪琪發表於2018-04-12

這學期要寫一個CPU,老師讓我們用Xilinx內建的IP來建立一個記憶體。查詢知道建立的RAM的初始資料可以用COE檔案匯入。

COE格式

memory_initialization_radix = 10; 
memory_initialization_vector =1,2,3;

用記事本編寫,最後把字尾改為.coe

前兩行用如上格式。radix等號表示進位制數;vector後面跟上資料,最後分號結尾。

但最後發現這個IP是用Verilog寫的,而我用的是VHDL,這樣模擬就變得很麻煩。還是自己寫一個簡單的記憶體比較好,嗯嗯。

相關文章