Xilinx COE檔案生成

為中國IC之崛起而讀書發表於2019-06-03

一、COE檔案格式

舉個例子:

這是一個完整的COE檔案。

第一行:

MEMORY_INITIALIZATION_RADIX=16;

 表示的是幾進位制資料。

 

第二行:

MEMORY_INITIALIZATION_VECTOR=

表示你要初始化的內容,然後把初始化的資料從第三行開始寫,注意每一個資料後面都要有一個逗號。最後一個資料是分號。然後儲存重新命名為xxx.coe即可。

 

PS:資料量少的化可以自己手寫,如果資料量大的話,推薦使用Python來寫。

相關文章