Modelsim模擬新手入門最詳細教程

climerecho發表於2021-11-15

2021年11月15日

00 安裝包/版本

我是提前在網上下好的(但這一點也給我的實驗造成了“麻煩”),用的是Modelsim SE-64 2020.4版本的,學校實驗室的似乎不同。但最終沒有太大影響。

01 配置環境步驟

學校有一個文件,在機房電腦照做就行。我因為是自己下載的,配置與機房軟體有所不同,所以又平添了很多麻煩。

01-0 verilog檔案

這個程式碼可以在很多地方編寫:Visual Studio Code裡有Verilog的外掛;還可以有更強大的語言編輯器:Nodepad++。

這裡我使用的是Nodepad++,因為看上去專業一點。

Nodepad++的頁面效果如圖:

 

 

 

其實第一次上機,老師會給大家示例檔案(包括設計程式碼與測試程式碼),跑出來示例波形就行。

01-1 具體步驟

  1. 新建一個用於安放project的文件,放在哪裡都行。

    把前面做出的.v檔案新增到這個文件。

    留意一下路徑。

     

     

     

     

     

  2. 開啟modelsim,在jumpstart中create a new project。

     

     

  3. 在彈出的提示框裡browse,找到剛才的資料夾。選中。

    填寫project 名,注意要與.v檔案裡的module名保持一致。點選ok。

     

     

  4. 進入頁面後會是這樣子:

    注意此處兩個檔案後面應當都有問號,代表沒有編譯。先逐個右擊檔案add to this project確保加入。

  5. 在上方提示欄中complie->compile all。

    稍等些許會看到檔案後問號全部變為對勾,表示程式碼編譯通過,沒有問題。

    如果此步出錯則代表程式碼有bug。在下面的Transcript中上翻查詢錯誤。

  6. 點選上方Simulate->start simulation。

    由於注意與實驗室不同的,選中下面的Enable optimization,再在右側Optimization Options中的Visibility中選中Apply full visibility....

  7. 接著在work裡找到測試程式碼的檔案,選中。

     

     

  8. 在彈出頁面中的Object欄目(此處會有測試程式碼中設定的一些變數)中右擊空白處,add to ->wave ->Signals in Region.

  9. 可見最右側wave模組中出現這幾個變數。

  10. 點選上方simulate -> run -> run all。即可出現波形圖。

     

     

10 問題解決

這裡記錄一下配置過程中遇到的一些問題及其解決。

10-0 與nodepad++繫結

網上的辦法是在控制皮膚中直接輸入

proc external_editor {filename linenumber} { exec "I:/notepad++/notepad++.exe"  $filename }

回車後

set PrefSource(altEditor) external_editor

其實也可以在建立的那個資料夾裡,選中.v檔案,右擊開啟方式,找到nodepad++並設為預設即可。

10-1 modelsim 模擬後object區沒有變數

解決方案上面提到了。

就是在點選模擬後彈出的視窗中,選中Enable optimization,再在右側Optimization Options中的Visibility中選中Apply full visibility....

即可解決。

相關文章