搭建verilog/systemverilog學習環境

糊涂二蛋發表於2024-06-08

目錄
  • 模擬軟體選擇
  • 使用iverilog的基本步驟

模擬軟體選擇

  • 學習verilog或者systemverilog過程中,使用那種模擬軟體?當然最好是使用synopsys的vcs+verdi的組合,功能強大,而且大部分公司也使用synopsys的eda軟體,如果熟練掌握vcs+verdi對以後工作中使用它們也是有很大幫助。但是這兩個軟體安裝比較複雜,需要授權,網上的很多教程我也嘗試了,但都沒有成功,最終無奈放棄。
  • 也可以使用modelsim進行模擬,modelsim的安裝可以參考 modelsim 2020.4SE安裝教程超詳細,另外還可以使用vscode+modelsim的組合來進行寫程式碼和執行模擬,vscode+modelsim組合的設定可以參考Vscode + modelsim 環境搭建
  • 使用iverilog+vscode組合,我就是使用這個組合。對於初學者來說,這個是完全足夠的,而且vscode中,各種外掛,對寫程式碼很有幫助,編譯執行也快,唯一不足的是iverilog對systemverilog的支援不夠完整,有的語法是不支援的。安裝iverilog+vscode可以參考這個連結。一款輕量級verilog HDL開發方案

使用iverilog的基本步驟

  • iverilog的基本使用可以上github檢視iverilog教程
  • 我常用就下面三條命令, 第一條命令編譯verilog/systemverilog檔案,
    • -o 是編譯後輸出的檔名字, -g 2012 表示支援systemverilog語法, -s 指定root module名字, 這兒code4_18.v是要編譯verilog/systemverilog 檔名字。
  • 第二條命令執行編譯後的檔案
  • 第三條命令用gtkwave開啟波形檔案,我們也可以安裝WaveTrace外掛後,在vscode中直接開啟vcd檔案,但是該外掛最多隻新增8個訊號,再多的話,就要花錢買授權。
iverilog -o myrun -g 2012 -s TestMem code4_18.v
vvp myrun
gtkwave testmem.vcd

相關文章