[1]
php=<?phpn$n?>
common=require_once(dirname(__FILE__)."/include/common.inc.php");
dsql=$dsql->$;
[2]
case=case $: $n$
def=#define $n$
default=default $: $n$
dowhile=don{n $n}nwhile ($);n$
dowhiles=don $nwhile ($);n$
else=elsen{n $n}n$
elseif=else if ($)n{n $n}n$
elseifs=else if ($)n $n$
elses=elsen $n$
for=for ($;$;$)n{n $n}n$
fors=for ($;$;$)n $n$
func=$ $($)n{n $n return $;n}n$
if=if ($)n{n $n}n$
ifelse=if ($)n{n $n}nelsen{n $n}n$
ifelses=if ($)n{n $n}nelsen $n$
ifs=if ($)n $n$
ifselse=if ($)n $nelsen{n $n}n$
ifselses=if ($)n $nelsen $n$
inc=#include <[ ubbcodeplace_1 ]gt;n$
incl=#include "$"n$
main=int main(int argc, char* argv[])n{n $n}n
switch=switch ($)n{n $n}n$
while=while ($)n{n $n}n$
whiles=while ($)n $n$
[20]
b=border:1px solid #$;
bb=border-bottom:1px solid #$;
bds=border:1px dashed #$;
bdt=border:1px dotted #$;
bi=background:url(../http://blog.itxti.net/wp-content/uploads/2014/03/gif) no-repeat;
bix=background:url(../http://blog.itxti.net/wp-content/uploads/2014/03/gif) repeat-x;
biy=background:url(../http://blog.itxti.net/wp-content/uploads/2014/03/gif) repeat-x;
bk=background:#$;
bl=border-left:1px solid #$;
bp=background-position:$;
br=border-right:1px solid #$;
bt=border-top:1px solid #$;
bw=border-width:$px;
c=color:#$;
cl=clear:$;
cm=/*$*/
cur=cursor:pointer;
d=display:block;
di=display:inline;
ff=font-family:$;
fl=float:left;
fr=float:right;
fs=font-style:normal;
fsz=font-size:$px;
fw=font-weight:bold;
fwn=font-weight:normal;
h=height:$px;
i=!important;
lh=line-height:$px;
ls=list-style:normal;
m=margin:$;
mb=margin-bottom:$px;
ml=margin-left:$px;
mr=margin-right:$px;
mt=margin-top:$px;
ov=overflow:hidden;
p=padding:$px;
pb=padding-bottom:$px;
pl=padding-left:$px;
pr=padding-right:$px;
psa=position:absolute;
psr=position:relative;
pt=padding-top:$px;
ta=text-align:center;
tar=text-align:right;
td=text-decoration:underline;
tdn=text-decoration:none;
ti=text-indent:$px;
vb=visibility:hidden;
w=width:$px;
[29]
else=} else {n $
foreach=foreach $ $ {n $n}n$
if=if { $ } {n $n}n$
ifelse=if { $ }n $n} else {n $n}
proc=# $nproc $ { $ } {n $n}n$
regsub=regsub -all {$} "$" {$} $n$
[3]
case=case $: $n$
class=class $ {nprivate:n $nprotected:n $npublic:n $n};n$
def=#define $n$
default=default $: $n$
dowhile=don{n $n}nwhile ($);n$
dowhiles=don $nwhile ($);n$
else=elsen{n $n}n$
elseif=else if ($)n{n $n}n$
elseifs=else if ($)n $n$
elses=elsen $n$
for=for ($;$;$)n{n $n}n$
fors=for ($;$;$)n $n$
func=$ $($)n{n $n return $;n}n$
hclass=#ifndef $n#define $nnclass $ {nprivate:n $nprotected:n $npublic:n $n};nn#endifn$
if=if ($)n{n $n}n$
ifelse=if ($)n{n $n}nelsen{n $n}n$
ifelses=if ($)n{n $n}nelsen $n$
ifs=if ($)n $n$
ifselse=if ($)n $nelsen{n $n}n$
ifselses=if ($)n $nelsen $n$
inc=#include <[ ubbcodeplace_1 ]gt;n$
incl=#include "$"n$
main=int main(int argc, char* argv[])n{n $n}n
switch=switch ($)n{n $n}n$
while=while ($)n{n $n}n$
whiles=while ($)n $n$
[38]
Ris=Rising_Edge ( $ )$
access=type $ is access $;n$
alias=alias $ is $;n$
archi=architecture $ of $ isn$nbeginn$nend $;n$
array=type $ isn array ( $ ) of $;n$
assert=assert ( $ )n report "$"n sevrity $;n$
block=$ : block $nbeginn $nend block $;n$
case=case $ isn when $ =>n $;n when others =>n $;nend case;n$
com=--n-- $n--
component=component $n port (n $n );nend component $;n$
config=for $ : $n use $n $;n$
constant=constant $: $;n$
elsif=elsif ( $ ) thenn $;
entity=entity $ isn port (n $n );nend $;n$
enum=type $ is ( $, $ );
exit=exit $ when $;n$
file=file $ : $ is $;n$
for=$ : for $ in $ downto $ loopn $;nend loop $;n$
function=function (n $n )return $ isn $nbeginn $nend $;
functiond=function $ (n $n) return $;n$
geneif=$ : if ( $ = $ ) generaten $nbeginn $nend generate $;
generate=$ : for $ in $ downto $ generaten $nbeginn $nend generate $;n$
generic=generic (n $n );n$
genmap=generic map (n $n)n$
group=group $ is ( $ );n$
ieee=library ieee;n use ieee.std_logic_1164.all;n $
if=if ( $ ) thenn $;n$end if;n$
ifelse=if ( $ ) thenn $nelsen $nend if;n$
ifelsif=if ( $ ) thenn $nelsif ( $ )n $nend if;n$
loop=$ : loopn $nend loop;n$
map=$ : $n port map (n $n );n$
mapgen=$ : $n generic map (n $n )n port map (n $n );n$
next=$ : next $ when $;n$
numeric=use ieee.numeric_std.all;n$
pack=package $ isn $nend package $;nnpackage body $ isn $nend package body $;n$
port=port (n $n );n$
procedure=procedure $ (n $n ) isn$nbeginn $nend procedure $;n$
procedured=procedure $ (n $n);n$
process=$ : process ( $ )nbeginn $nend process $;n$
proclk=$ : process ( $, $ )n beginn if ( $ = ' ) thenn $ <= $;n elsif( Rising_Edge( $ ) ) thenn $n end if;nend process $;
protected=protectedn $nend protected ;
record=type $ isn recordn $ : $ ;nend record $;n$
start=library ieee;n use ieee.std_logic_1164.all;nnentity $ isn port (n $n );nend $;nnarchitecture $ of $ isn$nbeginn$nend $;n$
std=signal $ : std_logic$;n$
stdpi=$ : in std_logic;n$
stdpio=$ : inout std_logic;n$
stdpo=$ : out std_logic;n$
stdtextio=use ieee.std_logic_textio.all;n$
stdv=signal $ : std_logic_vector( $ downto 0);n$
stdvar=variable $ : std_logic;n$
stdvpi=$ : in std_logic_vector( $ downto $);n$
stdvpio=$ : inout std_logic_vector( $ downto $);n$
stdvpo=$ : out std_logic_vector( $ downto $);n$
stdvvar=variable $ : std_logic_vector( $ downto 0);n$
subtype=subtype $ is $;n$
textio=use std.textio.all;n$
units=unitsn $;nend units;n$
waitf=wait for $;n$
waitu=wait until $;n$
waituf=wait until $ for $;n$
when=when $ =>n $;$
whene=when ( $ ) else $;
while=$ : while $ loopn $;nend loop;n$
x=x"$"$
[8]
a=<a href="#">[ ubbcodeplace_1 ]lt;/a>
arclist={dede:arclist flag='h' typeid='' row='' col='' titlelen='' infolen='' imgwidth='' imgheight='' listtype='' orderby='' keyword='' limit='0,1'}
ask={dede:ask row='6' qtype='new' tid='0' titlelen='24'}
at=<a href="#" target="_blank">[ ubbcodeplace_1 ]lt;/a>
b=<!--{begin $-->
bd=<body>n $n</body>
channel={dede:channel type='top' row='8' currentstyle="<li><a href='~typelink~' class='thisclass'>~typename~</a> </li>"}
channelartlist={dede:channelartlist row=6}n<dl>n <dt><a href='{dede:field name='typeurl'/}'>{dede:field name='typename'/}</a></dt>n <dd>n {dede:arclist titlelen='42' row='10'} <ul class='autod'> n <li><a href="[field:arcurl /]">[field:title /]</a></li>n <li>([field:pubdate function="MyDate('m-d',@me)"/])</li>n </ul>n{/dede:arclist}n</dl>n{/dede:channelartlist}
dd=<dd>[ ubbcodeplace_1 ]lt;/dd>
div=<div class="$">[ ubbcodeplace_1 ]lt;/div>
divid=<div id="$">[ ubbcodeplace_1 ]lt;/div>
dl=<dl>n <dt>[ ubbcodeplace_1 ]lt;/dt>n <dd>[ ubbcodeplace_1 ]lt;/dd>n</dl>
dt=<dt>[ ubbcodeplace_1 ]lt;/dt>
dtd=<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">n<html xmlns="http://www.w3.org/1999/xhtml">n<head>n <title>[ ubbcodeplace_1 ]lt;/title>n <meta http-equiv="Content-Type" content="text/html; charset=utf-8" />n <meta http-equiv="Content-Language" content="zh-CN" />n <meta name="Keywords" content="" />n <meta name="Description" content="" />n <link rel="stylesheet" href="style/$.css" type="text/css" />n</head>n<body>n</body>n</html>
e=<!--}end $-->
em=<em>[ ubbcodeplace_1 ]lt;/em>
fm=<form action="$">n $n</form>
global={dede:global.cfg_$/}
h1=<h1>[ ubbcodeplace_1 ]lt;/h1>
h2=<h2>[ ubbcodeplace_1 ]lt;/h2>
h3=<h3>[ ubbcodeplace_1 ]lt;/h3>
h4=<h4>[ ubbcodeplace_1 ]lt;/h4>
h5=<h5>[ ubbcodeplace_1 ]lt;/h5>
h6=<h6>[ ubbcodeplace_1 ]lt;/h6>
hd=<head>n <title>[ ubbcodeplace_1 ]lt;/title>n <meta http-equiv="Content-Type" content="text/html; charset=gbk" />n <meta http-equiv="Content-Language" content="zh-CN" />n <meta name="Keywords" content="" />n <meta name="Description" content="" />n <link rel="stylesheet" rev="stylesheet" href="style/$.css" type="text/css" />n <script type="text/javascript" src="script/$.js"></script>n</head>
img=<img src="http://blog.itxti.net/wp-content/uploads/2014/03/gif" alt="$" />
in=<input type="$" value="$" />
include={dede:include filename='$.htm'/}
lb=<label>[ ubbcodeplace_1 ]lt;/label>
li=<li>[ ubbcodeplace_1 ]lt;/li>
link=<link rel="stylesheet" rev="stylesheet" href="style/$.css" type="text/css" />
ol=<ol>n <li>[ ubbcodeplace_1 ]lt;/li>n $n</ol>
op=<option>[ ubbcodeplace_1 ]lt;/option>
p=<p>[ ubbcodeplace_1 ]lt;/p>
pre=<pre>[ ubbcodeplace_1 ]lt;/pre>
scr=<script type="text/javascript">n<!--n$n//-->n</script>
sl=<select>n <option>[ ubbcodeplace_1 ]lt;/option>n</select>
sp=<span>[ ubbcodeplace_1 ]lt;/span>
sql={dede:sql sql='Select * from dede_archives where mid=1'}
st=<strong>[ ubbcodeplace_1 ]lt;/strong>
style=<style type="text/css">n<!--n$n-->n</style>
tb=<table>n <tr>n <td>[ ubbcodeplace_1 ]lt;/td>n $n </tr>n $n</table>
td=<td>[ ubbcodeplace_1 ]lt;/td>
tmp=<img src="temp/$.gif" alt="" />
tr=<tr>n $n</tr>
tt=<title>[ ubbcodeplace_1 ]lt;/title>
txt=<textarea>[ ubbcodeplace_1 ]lt;/textarea>
ul=<ul>n <li>[ ubbcodeplace_1 ]lt;/li>n $n</ul>